Look for any podcast host, guest or anyone
Showing episodes and shows of

Francoise Von Trapp/Multiple Guests

Shows

3D InCites Podcast3D InCites PodcastECTC at 75: Pioneers Reflect on Packaging's Past and FutureSend us a textWhat happens when you gather 75 years of packaging innovation under one roof? The Electronic Component Technology Conference (ECTC) has transformed from a modest gathering of 300 electronics professionals to a powerhouse event attracting over 2,500 industry leaders, researchers, and visionaries. This remarkable journey reflects how advanced packaging has evolved from supporting technology to the driving force behind modern electronics.In this special episode, we speak with three generations of ECTC committee members: Pat Thompson (with 41 years of ECTC experience), Przemek Gromala (10 years), and Florian Herault (since 2010). They share fascinating insights into how the...2025-06-0526 min3D InCites Podcast3D InCites PodcastKoh Young's Michael Zahn Talks About Putting 3D Measurement Technology to Work for Semiconductor ManufacturingSend us a textThis episode was recorded live at SEMICON Europa. Michael Zahn from Koh Young talks to Françoise von Trapp about the growing significance of metrology in semiconductor manufacturing and the need for advanced measurement technologies.  They discuss the transition from 2D to 3D measurements in semiconductor manufacturing.  Zahn explains why  non-destructive, optical 3D measurements offer precise height and position data with sub-micron resolution. You'll learn how these measurements are crucial for early defect detection, improving efficiency, and reducing waste. You'll also gain an understanding about the importance of real-time, in-l...2024-12-1218 min3D InCites Podcast3D InCites PodcastSEMICON Europa 2024: Can Digital Twins Help Solve Europe's Talent Gap and Build Technical Sovereignty?Send us a textIn this episode, recorded live at SEMICON Europa in Munich, Françoise von Trapp continues her conversations with the keynote speakers on helping to build Europe’s technical sovereignty, and how implementing digital twins can help achieve this goal. John Behnke, of Inficon, discussed the evolution of smart manufacturing in the semiconductor industry. He highlighted how smart software can increase factory productivity by 5-15% by making autonomous decisions based on digital twins. You’ll learn about Behnke’s vision for the Smart Control Room. Catherine Le Lan, Synopsys, emphasized the need...2024-11-2844 min3D InCites Podcast3D InCites PodcastThe U.S. CHIPS for America Mash-UpSend us a textIt's been quite an exciting year for the U.S. Chips for America as the funding starts rolling out. All year long, Françoise von Trapp has interviewed various government dignitaries about various elements of the CHIPS Act. This episode brings these episodes together in order of appearance, to give you a timeline of the year's progress. First up is Dan Berger,  National Advanced Packaging Manufacturing Program (NAPMP), who explains how CHIPS Acts monies are being used to address gaps like advanced packaging and substrate manufacturing, to help revitalize the US sem...2024-11-1442 min3D InCites Podcast3D InCites PodcastOnto Innovation's PACE Partners Talk About Collaborating on Panel-Level PackagingSend us a textOn September 30, 2024, Onto Innovation held the grand opening of its Packaging Applications Center of Excellence - or as it's being called, PACE. Françoise von Trapp attended the grand opening to learn why the company has partnered with like-minded suppliers of the panel-level packaging ecosystem to accelerate the development of PLP technologies for both organic and glass substrates. These include 3D InCites Members: LPKF Laser & Electronics, Evatec, MKS-Atotech and Lam Research; as well as Resonac, Corning, and others.This episode starts off with a conversation with Onto Innovation CEO, Mike Plisinski, w...2024-11-071h 043D InCites Podcast3D InCites PodcastWitnessing Foundry 2.0 In Action with NHanced Semiconductor's Bob Patti and Carl PettewaySend us a textFor this 3D InCites Podcast Extra, Françoise von Trapp traveled to Morrisville, North Carolina, to witness Foundry 2.0 in action at NHanced Semiconductors. She speaks with founder and CEO Bob Patti about his vision for the company, which is based on implementing the Foundry 2.0 business model he conceptualized.  It involves sourcing dies and chiplets from traditional foundries and applying semiconductor foundry processes and advanced packaging and assembly technologies. You'll learn:What's driving the transition to advanced packaging from traditional scalingWhy the traditional foundry model may not be the way goin...2024-10-3053 min3D InCites Podcast3D InCites PodcastLive from IMAPS Symposium 2024: Updates on the NAPMP, Silicon Photonics and RDL PackagingSend us a textThis episode was recorded live at the IMAPS International Symposium in Boston MA.  Françoise von Trapp speaks with Dev Palmer, director of the National Advanced Packaging Manufacturing Program; Sandeep Sane of Lightmatter; Craig Bishop, Deca and Brett Wilkerson, AMD. Dev Palmer explains Chips Act's funding distribution and its impact on the semiconductor ecosystem. He emphasizes the importance of bridging research and industry and describes some of the programs key initiatives. Palmer highlights the need for collaboration across large and small businesses, academia, and nonprofits to achieve the Chips Act's ambitious goa...2024-10-1047 min3D InCites Podcast3D InCites PodcastLam Research’s Chee Ping Lee Explains the Role of HBM in Generative AISend us a textIn this episode, Françoise von Trapp speaks with Chee Ping Lee, of Lam Research, about the critical role of high bandwidth memory (HBM) in generative AI, emphasizing its high bandwidth and compact design.  HBM memory has received a lot of attention as one of the first technologies to implement 2.5D and 3D stacking. Lee explains how HBM uses advanced packaging technologies like TSV and microbumps to achieve high memory capacity and performance. Lam Research's solutions are key to HBM's success.Listen to learn details about: The importance of H...2024-10-0339 min3D InCites Podcast3D InCites PodcastComet's Isabella Drolz Explains How 3D X-Ray Uses AI to Help Build AI ChipsSend us a textIn this episode, Francoise von Trapp speaks with Isabella Drolz from Comet about how AI is revolutionizing semiconductor inspection strategies, particularly in 3D X-ray systems. They discuss how AI is being used to find structural defects in advanced chip packages, and also how 3D inspection is being used to develop advanced AI technologies themselves. von Trapp and Drolz explore the evolution of inspection methods in advanced packaging, noting the complexity of 3D ICs and the limitations of traditional optical inspections. Drolz emphasizes the importance of AI in accelerating yield curves and i...2024-09-2620 min3D InCites Podcast3D InCites PodcastMonita Pau and Jiangtao Hu Talk About Addressing The Challenges of Metrology for Advanced PackagingSend us a textIn this episode, Françoise von Trapp talks with Onto Innovation’s Monita Pau and Jiangtao Hu about metrology for advanced packaging – why do we need it? What are the challenges, and how do we solve them?   In semiconductor manufacturing front-end processes, metrology has always been a critical step to ensure consistency of very fine features. It’s only recently become important to back-end advanced packaging processes – especially for heterogeneous integration. As chips are designed with smaller features, advanced packaging processes are becoming more front-end like. You’ll learn about how metro...2024-09-1229 min3D InCites Podcast3D InCites PodcastTarak Railkar and Benson Chan Preview IMAPS Symposium 2024Send us a textIn this episode, Tarak Railkar and Benson Chan join Françoise von Trapp for a preview of  The IMAPS International Symposium 2024, which takes place in Boston from September 30 to October 3, 2024. This year's symposium focuses on heterogeneous integration for paradigm-shifting microelectronics and photonics. It will feature a five-track technical program, special interactive sessions on onshoring, keynote speakers by industry leaders, and much more.  The symposium will also host a Bingo networking event to promote DEI, a career fair, student poster sessions, and off-site tours to MIT and MRSI Systems. Listen in to...2024-09-0523 min3D InCites Podcast3D InCites PodcastBruce Kim of SurplusGLOBAL: The Impact of the CHIPS Act on the Secondary Equipment MarketSend us a textIn this episode, Françoise von Trapp speaks with Bruce Kim, of SurplusGLOBAL, for an update on the semiconductor secondary equipment market, and how the US. and European Chips Acts are impacting it. They also discuss the trend of top-tier OEMS prioritizing the development of innovative technology rather than investing in legacy tools that are still very much in need, and the impact this is having on device manufacturers that rely on legacy tools, but have trouble finding repair parts. This was part of an interview with Bruce by WorldFolio. Find th...2024-08-2924 min3D InCites Podcast3D InCites Podcast30 Years of Underfill Technology: How Societal and Technological Challenges Impact Materials DevelopmentSend us a textIn this episode, Françoise von Trapp and underfill materials expert, Dick Jensen, NAMICS corporation explore the societal and technological challenges that impact microelectronic materials development. The conversation focuses on the evolution of underfill materials development over the past 30 years. They discuss the importance of underfill materials in semiconductor manufacturing, focusing on their compatibility, environmental impact, and properties. You’ll learn about:The development of specialized underfill materials for advanced packaging technologies, including AI chips, and the challenges arising from material changes in electronics manufacturingHistorical examples of working with...2024-08-2226 min3D InCites Podcast3D InCites PodcastSEMICON West 2024: Updates on The SEMI Climate Consortium and Workforce DevelopmentSend us a textThis episode was recorded live at SEMICON West 2024 – SEMI’s flagship tradeshow where the global industry gathers to showcase its latest products and technologies; and discuss topics critical to the industry’s growth. Two of these continue to be Sustainability and Workforce Development.  Today’s guests will address both these topics. Paul Kelly, Vice President of Strategies, Partnerships, & New Ventures and Chief Operating Officer of NY CREATES, and Dr. Mousumi Bhat, SEMI Vice President of Sustainability Programs join Françoise von Trapp to discuss an environmental sustainability partnership between NY CREATES and the SE...2024-08-1533 min3D InCites Podcast3D InCites PodcastNavigating The Touchpoints of the Semiconductor Equipment JourneySend us a textThe semiconductor industry supply chain continues to capture attention as general awareness about the importance of semiconductors in our everyday lives grows.The news of global expansion and ongoing delays of new fabs coming online is constant. But have you ever stopped to think how complicated it is to outfit a fab with its highly calibrated, sensitive equipment? There are many moving parts to consider – both figuratively and literally. In this episode, Françoise von Trapp leads a conversation with Barry O’Dowd of Kuehne+Nagel; Kevin Mille, of KLA, and Em...2024-08-0843 min3D InCites Podcast3D InCites PodcastUndersecretary Jose Fernandez on Securing Critical Supply Chains in the 21st CenturySend us a textRecorded live at SEMICON West 2024, SEMI’s flagship tradeshow where the global semiconductor industry gathers to discuss important matters and showcase new products and technology.  This episode features Françoise von Trapp’s conversation with Jose Fernandez, Under Secretary of Economic Growth, Energy, and The Environment for the Department of State, to talk about securing critical supply chains for the 21st century.   Fernandez discussed the importance of addressing geopolitical issues and ensuring supply chain resilience, particularly in the aftermath of the pandemic. He highlighted the importance of establishing global semicondu...2024-07-2519 min3D InCites Podcast3D InCites PodcastDr. Laurie Locascio, Undersecretary of Commerce, Talks about U.S. Investment in Semiconductor ManufacturingSend us a textRecorded live at SEMICON West 2024, the flagship tradeshow for SEMI, where the entire industry gathers to showcase their latest products and technologies.  Françoise von Trapp interviews Laurie Locascio, Director of NIST and the Under Secretary of Commerce for Standards and Technology to learn more about the US CHIPS and Science Act, implementation updates, and government investments in semiconductor manufacturing and supply chain. Key takeaways included the importance of public-private collaboration, addressing research gaps, strategic investments, and global collaboration to ensure the industry's success and address economic and national secu...2024-07-1818 min3D InCites Podcast3D InCites PodcastSiemens' AJ Incorvaia Explains the Evolution of EDA Tools for Advanced Packaging and 3D ICsSend us a textFrançoise von Trapp and AJ Incorvaia discuss the evolution of EDA tools for 3D integration and design tools for 3D ICs and packaging. They talk about the need for real-time 3D visualization and automation capabilities to enable the design and manufacturing of complex 3D ICs. They also highlighted the growing importance of digital twin technology in the semiconductor industry and the challenges of designing and optimizing 3D ICs, including the need for concurrent design across multiple disciplines.You'll learn about: Evolution of semiconductor packaging from wire bond to...2024-07-1127 min3D InCites Podcast3D InCites PodcastHow Do You Break Through the Silicon Ceiling? A Conversation with Christine KingSend us a textIn this episode, Françoise von Trapp speaks with Christine King, the world’s first woman CEO of a semiconductor company, about her journey from near destitution to success in a male-dominated industry. Christine recently published a memoir about her journey, called Breaking Through the Silicon Ceiling, which details her journey and provides insights on work-life balance at IBM and beyond. Christine talks about how she overcame adversity and stumbled into engineering and entrepreneurship. She shares stories of how she made her way up the IBM corporate ladder, overcoming challenges such as g...2024-07-0433 min3D InCites Podcast3D InCites PodcastThe Saxony Story: How to Develop a Global Semiconductor ClusterSend us a textSaxony, Germany, has a strong potential to become a global semiconductor location due to its long history in traditional industries, technological base, vast talent pool, strategic location, and investment in education and new talent. In this episode, Françoise von Trapp speaks with Andreas Lippert, of Saxony Trade and Invest, and  Jan Klinger, of Fabmatics, about the region's unique ecosystem for microelectronics, government co-funding, and innovation. You'll learn about:Saxony's history in innovation and competitiveness, and its impact on developing strong industrial sectors.Saxony's microelectronics cluster and its grow...2024-06-2737 min3D InCites Podcast3D InCites PodcastHighlights and Aha! Moments of ECTC 2024: A Recap with Karlheinz Bock and Michael MayerSend us a textFrançoise von Trapp talks with ECTC 2024 General Chair, Karlheinz Bock and Program Chair, Michael Mayer about highlights and key takeaways from the 2024 IEEE Electronic Component Technology Conference. (ECTC 2024). Bock and Mayer discussed the growth of ECTC 2024, and how focusing on strategic decisions such as changing the format and increasing the exhibition space helped organizers achieve record attendance. They also describe the volunteering opportunities and the pathway to leadership it provides. They shared their own experiences and the impact ECTC has had on their careers. From the event itself, you...2024-06-2024 min3D InCites Podcast3D InCites PodcastECTC 2024: Can Photonics Solve the AI Energy Problem? Why is Process Control so Critical to Advanced Packaging?Send us a textRecorded live at the 2024 Electronic Component Technology Conference, this episode features conversations Francoise von Trapp has with Keynote Speaker Keren Bergman, Columbia University and co-founder of Xscape Photonics,  and Chet Lennox, of KLA.  Bergman explains the potential of photonics in AI applications to improve energy efficiency and bandwidth.  You’ll learn about the limitations of current photonics technology in data centers and proposes a new generation of integrated photonics and silicon to overcome these limitations. You’ll also learn about the development of unique laser technologies for energy efficiency and the latest a...2024-06-0633 min3D InCites Podcast3D InCites PodcastSEMI America’s Joe Stockunas Talks About SEMICON West Past, Present and FutureSend us a textIn this preview episode for SEMICON West 2024, Françoise von Trapp and SEMI America’s President Joe Stockunas talk about SEMICON West's Past, Present, and Future. The discussion emphasizes the need for collaboration in the semiconductor industry and the important role SEMI plays in supporting the interests of its global members.  Joe updates listeners on semiconductor industry growth and challenges, including sustainability, workforce development, and supply chain risks. He also shares updates on investment opportunities in the US industry, particularly opportunities in New York and Arizona.  You’ll learn...2024-05-3025 min3D InCites Podcast3D InCites PodcastLPKF Laser & Electronic’s Richard Noack Explains the Growing Importance of Glass Substrates for HPC and Chiplet Advanced PackagingSend us a textGlass has long been explored as an alternative substrate material to organic laminates and silicon. As high-performance computing and chiplets HPC push the boundaries of existing technology, they are demanding innovative packaging solutions, beginning with innovative substrate materials.  This is paving the way for glass. In this episode, Françoise von Trapp and Richard Noack discuss the highlights and takeaways from the recent webinar, Evolving HPC and Chiplet Packaging with Glass, and dive deeper into the motivation and trends that are bringing glass to the forefront. They talk about the...2024-05-2329 min3D InCites Podcast3D InCites PodcastMember Spotlight: IMAPS Devices Packaging Conference Celebrates 20 YearsSend us a textThis episode was recorded live at the IMAPS Device Packaging Conference – helping celebrate the event’s 20th year. The record turnout included many of our 3D InCites Community members. Françoise von Trapp spoke with several of them who were exhibiting and presenting, and in some cases, simply attending.  Alex Ospina of ACM Research discussed the latest technologies in wafer-level packaging, and the company’s focus on developing novel IP technologies to address industry challenges. You’ll hear about the company’s new vacuum cleaning tool designed to remove flux from bonds in smal...2024-04-1154 min3D InCites Podcast3D InCites PodcastIMAPS Global Business Council: Repatriating the U.S. Semiconductor EcosystemSend us a textThis episode was recorded live at IMAPS DPC, where the Spring version of the Global Business Council focused on Geopolitics Fueling the Repatriation of the Semiconductor Ecosystem. To get the complete picture of the collaboration happening, Françoise von Trapp speaks with representatives of the government, industry, and academia.  Dan Berger,  National Advanced Packaging Manufacturing Program (NAPMP), explains how CHIPS Acts monies are being used to address gaps like advanced packaging and substrate manufacturing, to help revitalize the US semiconductor industry. The focus is on developing domestic manufacturing teams for U.S. l...2024-04-0438 min3D InCites Podcast3D InCites PodcastThe AI Explosion, Chiplet Architectures, and Enabling the Future of Moore’s Law: Conversations from IMAPS DPC 2024Send us a textThis episode was recorded live at the IMAPS Device Packaging Conference in Fountain Hill AZ, where several of the keynote talks focused on chiplet architectures and heterogeneous integration for semiconductor device manufacturing, assembly, test, and packaging.  Françoise von Trapp talks with Arvind Kumar, of 3D InCites member company,  IBM and Hemanth Dhavaleswarapu of AMD, about chiplet application drivers, such as artificial intelligence. She then talks to Pooya Tadeyon, of Intel, to find out why we are moving to advanced packaging, and particularly chiplet architectures, to enable the future of Moore’s law...2024-03-2834 min3D InCites Podcast3D InCites PodcastSEMI ISS Europe Panel: Empowering Semiconductor Excellence in EuropeSend us a textIn this episode, recorded live at SEMI ISS Europe, Françoise von Trapp hands over the mic to SEMI Europe President, Laith Altimime, who leads a discussion on the European chip industry’s growth and challenges, and whether the European Chips Act will strengthen Europe towards its 20% vision goal.   Panelists include: Manfred Horstmann, GM and SVP GlobalFoundries DresdenThomas Richter, Senior Vice President & Managing Director, Infineon Technologies DresdenJuergen Schmidt, VP Semiconductor Manufacturing Frontend, Robert Bosch GmbHAndreas Lippert, Head of Department (Vice President) Acquisition, Inward Investment, Saxony Economic Development Corporation The discussion broadened to inc...2024-03-2155 min3D InCites Podcast3D InCites PodcastSEMI ISS Europe: Creating Resilient Semiconductor Supply Chains and The Impact of Geopolitics on EnergySend us a textThis week’s episode was recorded at ISS Europe, in Vienna, where the European semiconductor industries key strategists gathered to plan the path forward and forge a sustainable path to securing 20% of the global semiconductor market. One of the main topics continues to be building a resilient semiconductor supply chain. In the first segment of the episode, Françoise speaks with Sandrine Bronner, VP of supply chain, in the semiconductor division of Edwards Vacuum. They discuss her approach to creating end-to-end semiconductor supply chain resilience.    You’ll learn how to adapt...2024-03-1449 min3D InCites Podcast3D InCites PodcastInternational Women’s Day Special: Creating a Culture of Diversity, Equity, Inclusion and BelongingSend us a textIn honor of International Women’s Day (IWD 2024), 3D InCites partnered with SEMI ISS to bring you this episode on how companies are fostering and implementing DEIB and allyship into their corporate culture. Françoise von Trapp speaks with Nigel Wenden, CEO of WGNSTAR, Laura Matz, CTO of Merck KGAA Darmstadt Germany; and Mike Rosa, CMO, Onto Innovation.  They discuss their respective company’s success stories with DEIB and their efforts to foster allyship in the workplace. You’ll learn about some startling statistics about women and underrepresented minorities (URMs) in t...2024-03-0734 min3D InCites Podcast3D InCites PodcastScott Hayes and Amy Lujan Talk About The History of IMAPS DPC and The Line-up for 2024Send us a textThe International Microelectronics and Packaging Society’s Device Packaging Conference (IMAPS DPC) celebrates its 20th year this year. The conference takes place March 19-21, in Fountain Hills, AZ.  In this episode, Françoise von Trapp speaks with Scott Hayes, General Chair, IMAPS DPC, and Amy Lujan, General Chair-Elect of IMAPS DPC about the history of this event, and what sets it apart from IMAPS annual Symposium. This year’s event will focus on heterogeneous integration and growth drivers for packaging, with speakers from companies like AMD, Intel, Facebook Reality Labs, an...2024-02-1526 min3D InCites Podcast3D InCites PodcastJean-Christophe Eloy and Jan Vardaman Explain How Chiplets and Advanced Packaging will Rule the WorldSend us a textIn this episode, Françoise von Trapp and Jean Christophe Eloy of Yole Group, discuss the future of advanced packaging and chiplets in the semiconductor industry, and how these technologies will revolutionize the industry.  Get ready for a deep dive into a technology discussion. From Jean-Christophe, you’ll learn how chiplets differ from multichip modules (MCM) and systems-in-package (SiP). He highlights the benefits of chiplets, including optimizing different nodes for specific functions. You’ll also learn about important enabling technologies that ensure the fast and robust connections that hallmark chiplets.Fran...2024-02-0821 min3D InCites Podcast3D InCites PodcastSEMI Europe's Laith Altimime Talks about The Implementation of th EU Chips Act and SEMI ISS EuropeSend us a textFrançoise von Trapp and  SEMI Europe's Laithe Altimime discuss the status of the European Chips Act, which aims to double Europe's market share in global manufacturing by 2030 and increase chip production by 20%.The discussion focuses on European industry growth and resilience, and the importance of collaboration. They also discuss  ISS Europe which takes place in Vienna, March 6-8, 2024, and how its content differs from SEMI ISS. This year's theme will focus on the microelectronics supply chain, sustainability, and workforce development. You'll learn about the importance of Europ...2024-02-0129 min3D InCites Podcast3D InCites PodcastPaul Triolo talks about Geopolitical Headwinds Impacting the Semiconductor IndustrySend us a textAt SEMI ISS, Paul Triolo, Albright Stonebridge Group (ASG) delivered a presentation on the geopolitical headwinds impacting the semiconductor industry in 2024. He explains challenges of industrial policies, export controls, and supply chain perturbations.  Triolo is Senior Vice President for China and Technology Policy Lead at ASG, where he is also an Associate Partner. He advises clients in technology, financial services, and other sectors as they navigate complex political and regulatory matters in the US, China, the European Union, India, and around the world.In this episode, Françoise von Tra...2024-01-2522 min3D InCites Podcast3D InCites PodcastSEMI's Market Intelligence Team Shares Semiconductor Market Forecasts for 2024Send us a textFor the first few episodes of Season 4 of the 3D InCites Podcast, Françoise von Trapp headed to the SEMI Industry Strategy Symposium, (ISS) where semiconductor industry executives gather to gain and share insight on where the semiconductor industry is headed, and how they can work together to get there. Economic trends, industry markets, and growth drivers are a critical part of the discussion, so in this first episode, Francoise speaks with members of SEMI’s Market Intelligence team (MIT), Clark Tseng and Inna Skvortsova to get some of the details. ...2024-01-1820 min3D InCites Podcast3D InCites PodcastA Conversation about the Future of Work in the Semiconductor IndustrySend us a textOnce again, Cassandra Melvin, SEMI Europe, takes over the mike from Françoise von Trapp to lead a panel discussion on the Future of Work in the semiconductor industry. We join the panel discussion in progress, as it was part of a session on the Future of Work that took place in Munich during SEMICON Europa.  You’ll hear from Flemming Kehr, the Global Practice Lead, Sustainability, for Mercuri Urval, Christine Pelissier, General Manager of Customer Center EMEA at Edwards, and Emma Derby, Human Resources Director at Vodafone. They discuss what curre...2023-12-2131 min3D InCites Podcast3D InCites PodcastImec Discusses Collaborative Strategies and Practical Solutions Towards a More Sustainable Semiconductors FutureSend us a textIn this episode, Françoise von Trapp hands over the mike to imec’s Katrien Marent, who hosted imec’s ITF Towards NetZero at SEMICON Europa. She introduces a panel discussion on Collaborative Strategies and Practical Solutions Toward a More Sustainable Semiconductors Future.  The panel kicks off by polling the audience on what they think are the most pressing issues facing the semiconductor industry as it endeavors to reduce its carbon footprint while simultaneously growing to meet the demands of semiconductor devices, many of which will help other industries on their paths t...2023-12-0726 min3D InCites Podcast3D InCites PodcastKeynote Conversations From SEMICON Europa 2023 On Shaping a Sustainable $1Trillion EraSend us a textThis episode was recorded live from Munich, as the official podcast of SEMICON Europa. The theme of this year’s event is Shaping a Sustainable $1 Trillion Era. Françoise von Trapp talks with some of the keynote speakers about the roles their companies play in achieving this goal. talking with some of the Keynote speakers about the roles their company plays in this task. From Paul de Bot of TSMC Europe, you’ll learn about the company’s R&D investment in continued CMOS scaling and 3D integration, as well as a $32B i...2023-11-2339 min3D InCites Podcast3D InCites PodcastAT&S’ Markus Leitgeb and Tony Gueli Talk About Meeting Today’s IC Substrate ChallengesSend us a textIn this episode, Françoise von Trapp talks with AT&S’s Markus Leitgeb and Tony Gueli, about the complex world of IC substrates for advanced microelectronics. The conversation focuses on technical and commercial challenges, and how they can be overcome when you work with the right substrate partners.You’ll learn about the driving applications for advanced IC substrates, including data storage, data speed, and the automotive industry. You’ll hear about the challenges of keeping up with advanced node technology and the need for reliable interconnects in the assembly industr...2023-11-1627 min3D InCites Podcast3D InCites PodcastMember Spotlight: Conversations from IMAPS 2023Send us a textThis Member Spotlight episode was recorded live at the IMAPS International Symposium. Françoise von Trapp speaks with community members who attended and exhibited about what they were showcasing, and what they learned.  John Lannon and Rex Anderson, Micross Components, helped demystify the multiple government funding efforts to onshore advanced packaging.Casey Krawiec of StratEdge Corporation talks about the company’s role in delivering packaging technology for high-frequency applications.Brian Schmaltz, Namics Corporation, talks about the company’s efforts to eliminate harmful PFAS chemicals from their portfolio of pro...2023-11-021h 143D InCites Podcast3D InCites PodcastConversations with the Next Generation of Advanced Packaging ExpertsSend us a textIn a time when unprecedented industry growth is expected, the microelectronics industry is in the midst of a workforce shortage. To address this, the International Microelectronics and Packaging Society – IMAPS – is increasing its efforts to raise awareness about this rewarding industry through partnerships with universities and high schools.  Simultaneously, companies that serve the microelectronics industry are ramping up internship programs and coming up with new approaches to recruitment to help attract and retain young talent. In this episode, recorded live at the IMAPS International Symposium on October 4, 2023, Françoise sits dow...2023-10-1922 min3D InCites Podcast3D InCites PodcastIMAPS Symposium 2023 Keynote Chats: Qorvo's Kevin Anderson, IBM Research's Jeffrey Burns, and ASE's C.P. HungSend us a textThis episode was recorded live at the 2023 IMAPS International Symposium, where the week’s keynote talks focused on different aspects of heterogeneous integration, packaging technology for high-performance computing, and what’s driving these technologies. Françoise von Trapp speaks with three of the keynote speakers who addressed attendees including Kevin Anderson, of Qorvo; Jeffrey Burns, of IBM Research; and C.P. Hung of ASE Group. From Kevin Anderson, you’ll hear about Qorvo’s involvement in DARPA’s SHIP Program, and its Advanced State-of-the-Art RF Semiconductor Packaging Center that won them the 2023 3D...2023-10-1240 min3D InCites Podcast3D InCites Podcastimec’s Katrien Marent and SEMI Europe’s Laith Altimime Talk about Europe’s Role in Achieving a Sustainable $Trillion Semiconductor IndustrySend us a textSEMICON Europa 2023 is only six weeks away, and the 3D InCites podcast will once again be the Official Podcast Partner. There is an exciting lineup of topics and top-notch speakers, all focused on the main theme of shaping a sustainable $1T Era. And for the second time, following the great success of last year's premiere, the Belgian research technology organization, imec, is hosting its International Technology Forum (known as ITF) on the SEMICON Europa show floor. In this episode, Françoise von Trapp speaks with Katrien Marent, CMO of imec, and La...2023-10-0532 min3D InCites Podcast3D InCites PodcastNXP’s Gulroz Singh Discusses The Semiconductor Industry’s Role in Ensuring Autonomous Vehicle SafetySend us a textAs autonomous vehicles take to the roads, the jury is still out on the readiness of these vehicles in terms of safety. Technologies being used are still in nascent stages, and there is still work to be done before these vehicles can be operated in driverless mode.   In this episode, Françoise von Trapp sits down with Gulroz Singh, a renowned thought leader and expert in the automotive industry, specializing in the areas of autonomous driving safety, automotive functional safety, and semiconductor safety.  Listen in to learn about the chal...2023-09-2830 min3D InCites Podcast3D InCites Podcast3D InCites 411 - Françoise von Trapp Talks about the 2024 3D InCites Awards and MoreSend us a textWe've made changes to the 3D InCites Awards Program and the 2024 Yearbook! This recording of the recent 3D InCites 411 explains everything you need to know to participate in these programs. In this information session and Q&A you'll learn about:💡 The new 3D InCites Awards Format and Nomination Process💡The 2024 Yearbook Opportunities and Schedules💡New Offerings for 2024 including Webinars and Visits from the QueenFind more information on Sponsorship, Advertising, and new opportunities, use the links below: Yearbook Editorial and Advertising3D InCites Awards Sp...2023-09-2110 min3D InCites Podcast3D InCites Podcast100th Episode: Talking with Erica Folk and Tarak Railkar About The 2023 IMAPS SymposiumSend us a textYou are now listening to the 100th Episode of the 3D InCites Podcast! To celebrate, Françoise sits down with Erica Folk and Tarak Railkar, both representatives of the International Microelectronics and Packaging Society – also known as IMAPS. 3D InCites is the official Industry Partner of IMAPS and the Official podcast of the IMAPS Symposium.  In this episode, you’ll get a look behind the scenes of this largely volunteer-led organization, and the opportunities the Society provides its members. Folk, incoming IMAPS president, talks about the work her predecessor, Beth Keser, set in...2023-09-1429 min3D InCites Podcast3D InCites PodcastJoe Cestari and Martijn Pierik Talk About Moving Up in a DownturnSend us a textThe semiconductor industry is in an interesting position – on one side, the demand is there for it to become a $1T industry sometime in the next 7-10 years. But headwinds – including a current downturn, has many companies putting the brakes on spending. In this episode, Françoise von Trapp talks with Joe Cestari and Martijn Pierik, of Kiterocket, about why companies should think twice about cutting their marketing budget and what they can do to move up in a downturn. You’ll learn about the cyclic nature of the semiconductor industry and the...2023-09-0724 min3D InCites Podcast3D InCites PodcastWinbond Electronics' Alex Wei and Omar Ma talk about the Memory of EverythingSend us a textThis episode of the 3D InCites podcast was recorded live at SEMICON West. Françoise von Trapp speaks with Alex Wei and Omar Ma about the memory challenges facing the semiconductor industry, as AI and machine learning create a need for more advanced Flash Memory and DRAM. As memory specialists who recently joined the UCIe Consortium, Winbond is helping to address integrating memory in chiplet architectures.  Listen in to learn about the difference between code storage and data storage, and why both are critical for supporting today’s advanced smart...2023-08-3124 min3D InCites Podcast3D InCites PodcastNordson Test & Inspection's Chris Rand Explains Approaches to Achieving Zero Defects in Microelectronics Devices Using X-Ray InspectionSend us a text This week’s episode dives deep into the world of X-ray inspection and its many uses in today’s high-density 3D heterogeneous integration technologies for semiconductor manufacturing. Françoise von Trapp speaks with subject matter expert, Chris Rand, of Nordson Test and Inspection.  You’ll learn the basics – how X-ray inspection is used in semiconductor manufacturing and how that has changed over the years. The challenges facing manufacturers as heterogeneous integration schemes become more advanced – with 3D stacking and chiplet architectures are also discussed.You’ll also learn why achieving zero d...2023-08-2426 min3D InCites Podcast3D InCites PodcastBruce Kim, Danny Kim and Rose Lee Talk About Saving the World with Secondary Semiconductor Equipment and PartsSend us a textThis episode was recorded live at SEMICON West 2023, where one of the main topics of conversation was the importance of creating a collaborative supply chain. Françoise von Trapp speaks with Bruce Kim, CEO of SurplusGLOBAL, and team members Danny Kim and Rose Lee, about the critical role secondary equipment and parts play in this ecosystem.  You’ll learn about the status of the current global semiconductor legacy equipment and parts market and how it is being impacted by the current strain on the US and China relationship, as well as how i...2023-08-1718 min3D InCites Podcast3D InCites PodcastIBM’s Scott Sikorski Demystifies The CHIPS Act; Amkor’s Mike Kelly and ASE’s Ou Li Talk ChipletsSend us a textThis episode was recorded live at IMAPS CHIPCon, where experts in heterogeneous integration and chiplet-enabled advanced packaging schemes gathered to address some of the semiconductor manufacturing industries most pressing technical and industry-related challenges. Françoise von Trapp speaks with Scott Sikorski, of IBM who demystifies the complexities of the CHIPS Act, and the opportunities available for companies hoping to participate in R&D for advanced packaging. He also discusses the challenges of onshoring commercial advanced packaging.  She also interviews Amkor’s Mike Kelly and ASE Group’s Ou Li, two of...2023-08-1044 min3D InCites Podcast3D InCites PodcastSEMICON West 2023 3D InCites Member SpotlightSend us a textFrançoise von Trapp speaks with 3D InCites Member companies who exhibited and or attended SEMICON West 2023.  She posed one main question, based on the show’s three key topic areas. What role do they play in helping the semiconductor industry succeed in becoming a $1T industry by 2030, how are they addressing the path to Net Zero, and how are they being impacted by the talent shortage?Franziska Petersen, Edwards talks about the company’s role in the Semiconductor Climate Consortium. Alan Weber, CImetrix by PDF Solutions talks about the adoption and use of...2023-08-031h 543D InCites Podcast3D InCites PodcastSEMI’s Ajit Manocha Talks About PFAS and Other Headwinds to Achieving $1Trillion in the Semiconductor industrySend us a textFrançoise von Trapp talks to SEMI President and CEO Ajit Manocha, about the semiconductor industry’s journey on the path to becoming a $1 Trillion and what SEMI is doing to address the headwinds that could prevent that from happening. The semiconductor industry is growing at an amazing rate as demand for computer chips increases in everything from traditional applications like high-performance computing, data centers, and network architectures to automotive, artificial intelligence, and machine learning applications. Experts anticipate the industry will become a $1T industry by the 2030s. But headwinds including supp...2023-07-3119 min3D InCites Podcast3D InCites PodcastSEMI’s Mousumi Bhat and Collin O'Mara, NWF, Talk About Climate Equity and Social JusticeSend us a textAt SEMICON West, one of the key areas of discussion was the Path to Net Zero. What can we do to make sure the semiconductor industry grows in a way that is beneficial to the planet and shows cross-industry leadership? Françoise von Trapp talks to SEMI’s Dr. Mousumi Bhat, VP of Global Sustainability Programs, and Collin O’Mara, CEO of the National Wildlife Federation about key takeaways from the sessions at the Climate Equity & Social Impact Pavilion at SEMICON West.The program brought together leading climate and climat...2023-07-2720 min3D InCites Podcast3D InCites PodcastBettina Weiss and Bindiya Vakil Talk about Building an Agile Semiconductor Supply ChainSend us a textIn this episode, Françoise von Trapp talks with SEMI’s Bettina Weiss, and Bindiya Vakil, of Resilinc about how to navigate the complexities of the semiconductor supply chain. The electronics industry continues to be in a state of flux. After two years of shortages, delays, and skyrocketing costs, the causes of supply chain disruptions keep changing rapidly. There is no single cause. Economic uncertainty, legislation, geopolitics, sustainability, and regionalization are all at play. Supply chain managers must be ready for multiple worst-case scenarios and be able to pivot quickly when disr...2023-07-2025 min3D InCites Podcast3D InCites PodcastKLA’s Dave Thomas Talks about Advanced Plasma Processes for Wafer Level PackagingSend us a textFrançoise von Trapp interviews Dave Thomas, of the SPTS Division at KLA, to talk about the evolution of wafer-level packaging, what’s been driving the market to adopt more advanced processes over the past 20 years, and the role KLA and specifically the SPTS division has played in bringing these technologies to commercialization. The specific technology focus is on plasma dicing, its uses, particularly in die-to-wafer hybrid bonding, and the path to adoption. Thomas addresses in detail some of the process challenges and how SPTS has addressed them. He also talks abou...2023-07-0641 min3D InCites Podcast3D InCites PodcastAndy Mackie Talks About His Decoder Ring for Semiconductor PackagingSend us a textIn this episode, recorded at IMAPS DPC 2023, Françoise von Trapp talks with Indium’s Andy Mackie, about his latest mission to address the confusing and complex lexicon of Advanced Packaging technology.  He shares the backstory on the idea, he has come up with to change the way we talk about semiconductor device packaging, that will uplevel the importance of what is really interconnect technology. Mackie’s position, and that of other colleagues in the industry, is that the term "packaging", when applied to semiconductor assembly, is misunderstood by most people, at a ti...2023-04-2718 min3D InCites Podcast3D InCites PodcastDEI: It Takes A Village - A Panel DiscussionSend us a textAt IMAPS DPC, Françoise von Trapp moderated a town hall discussion, DEI: It Takes a Village. The target audience was not HR or hiring managers, but the regular attendees who may be wondering what they can do to help create an atmosphere of equity and inclusion at their workplace. We asked the hard questions that people might be asking: Why should I care? Why do I need to use my pronouns? What can I do/say to have an impact on our company culture?  Panelists: Francesca Domingo, EMD...2023-04-2044 min3D InCites Podcast3D InCites PodcastMember Spotlight: What We Presented and What We Learned at IMAPS DPC 2023Send us a textIn this episode, Françoise von Trapp holds impromptu interviews with 3D InCites members who attended, exhibited, and/or presented at the 2023 IMAPS DPC in March.  Topics of discussion include: Using AI in microelectronics manufacturing, how front-end processes are finding their way into the back-end, updates on glass substrates, capillary underfill advancements, dealing with wafer warpage,  and advanced substrates and standards on panel sizes.    Contact our GuestsKeith Felton, Siemens EDA, summarizes the presentation he gave on how to put AI, Machine Learning, and Deep learning to work i...2023-04-1328 min3D InCites Podcast3D InCites PodcastConversations with Winners of the 2023 3D InCites Award WinnersSend us a textIn This episode, Françoise von Trapp interviews some of the 2023 3D InCites Award Winners, to learn about the significant accomplishments they are being recognized for.   First is James Bear, TEL, who describes some of the company’s best practices that helped them secure the 2023 3D InCites Sustainability Award. Engineer of the Year, Markus Leitgeb, AT&S, explains the concepts and advantages of the company’s Embedded Component Process (ECP), which he helped develop. Mark Gerber, ASE, winner of the Device Technology of the Year award for the VIPa...2023-03-2333 min3D InCites Podcast3D InCites PodcastA Conversation with SEMI’s Market Intelligence Team about ISS 2022 and the Semiconductor Manufacturing MonitorSend us a textFor first episode of 2023, Françoise von Trapp handed over the microphone to Dean Freeman, the market analyst at 3D InCites. He attended SEMI’s Industry Strategy Symposium at Half Moon Bay, CA, in January and interviewed SEMI’s Market Intelligence team for the third episode in this four-part series. Part 3 focuses on the Semiconductor Manufacturing Monitor, a report jointly developed by SEMI and TechInsights. SEMI ISS is an annual event attended by semiconductor industry executives who rely on it for updates on many crucial aspects of semiconductor industry operations. As such...2023-01-1924 min3D InCites Podcast3D InCites PodcastA Conversation about Leveraging Generational Differences in the Shifting WorkplaceSend us a textIn this episode recorded live at SEMICON Europa 2022, Françoise hands over the mike to Cassandra Melvin, Senior Director of Business Development and Operations at SEMI Europe. At SEMICON Europa, Cassandra led a panel discussion on the topic of Leveraging Generational Differences in the Shifting Workplace. The panel comprised industry professionals representing different generational cohorts, from Baby Boomers to Gen-Z. We recorded it in its entirety. Meet the Panellists   Cassandra Melvin, Moderator, SEMI EuropeFrancoise Chombar, Chairwoman, MelexisPhilip Matthes, Head of Global Human Resources, Semiconductor Materials, Merck KGaA, Darmstadt Germa...2022-12-0849 min3D InCites Podcast3D InCites PodcastSEMICON Europa Member Spotlight: Conversations about the EU & US Chips Act and What’s NewSend us a textIn this episode, recorded live at SEMICON Europa in Munich the week of November 14-18, 2022, Françoise von Trapp speaks with 3D InCites member companies that either exhibited, presented, or in some cases, both, at the event. Conversations range from discussions about this year's event compared with the 2021 show, the impact of the respective EU and US CHIPS and Acts on their businesses, and the technology innovations they showcased at the show.  Guests and CompaniesPeter Dijkstra of Trymax  Alan Weber of Cimetrix by PDF Solutions  Dieter Rathei and K...2022-12-011h 173D InCites Podcast3D InCites PodcastA Conversation about Addressing Material Challenges for Electric Mobility TechnologySend us a textAccording to the International Energy Agency, road transportation accounts for 16% of global emissions. Electric vehicles are the key technology to decarbonize this. The EIA estimates that EVs will account for 13% of new cars sold in 2022. Many countries are setting goals to eliminate combustion engine cars to reach Net Zero emissions by 2050. But there are a lot of challenges to iron out before EVs achieve critical mass. For example, one area of interest for this week’s podcast guests is the materials used to manufacture EV power modules. In this episode, Françoise...2022-11-0315 min3D InCites Podcast3D InCites PodcastThe IMAPS DEI Panel Discusses the Difference between Equality and EquitySend us a textFor this episode, recorded during the IMAPS International Symposium, Françoise von Trapp hands over the mike to Robin Davis, of 3D InCites Member company, Deca. Davis organized and moderated a Diversity, Equity, and Inclusion town hall discussion during IMAPS, on the topic of Equality vs. Equity. A distinguished panel of industry veterans shared their perceptions and personal stories, including Jean Trewhella, GlobalFoundries; Susan Trulli, Raytheon; Shelby Nelson, Mosaic Microsystems; Urmi Ray, Saras Micro Devices, and KT Moore, Cadence. Davis provided a framework for the conversation, explaining the difference be...2022-10-271h 013D InCites Podcast3D InCites PodcastKeynote Conversations from the 2022 IMAPS International SymposiumSend us a textin this episode, Françoise von Trapp interviews three of the keynote speakers who presented during the 2022 IMAPS International Symposium. Featured guests include Lionel Kimmerling, Dr. Anu Agarwal (MIT Microphotonics Center); Glenn Daves (NXP), and Dave Bolognia (Analog Devices).We’re back on the road – recording a series of episodes at the IMAPS International Symposium. In this first episode, Françoise interviews some of the keynote speakers to capture the highlights of their talks. First up, is Lionel Kimmerling, Thomas Lord Professor of Materials Science and Engineering Director at MIT Mic...2022-10-1346 min3D InCites Podcast3D InCites PodcastA Conversation with Christine Whitman About Breaking Through The Semiconductor Glass CeilingSend us a textIt’s no secret that semiconductors are very much a male-dominated industry. In fact, according to Zippia, only 10.7% of engineers working in the semiconductor industry are women. And according to Deloitte and Touche’s Women in the Workplace, women are still vastly underrepresented at all levels of management. In this episode, Françoise von Trapp talks with Christine Whitman, a semiconductor executive who has broken through these barriers. She’s the chairman and CEO of our member company. Mosaic Microsystems.In this episode, Christine shares her origin story. She talks about what in...2022-10-0624 min3D InCites Podcast3D InCites PodcastA Conversation with Beth Keser and James Haley about What’s Happening at the IMAPS International SymposiumSend us a text The IMAPS International Symposium takes place October 4-7, 2022 at the Hynes Auditorium in Boston MA.  This year's Symposium theme is Packaging Technologies Enabling the New Normal, and will feature 20 sessions in five technical tracks, plus an Interactive Poster Session. The technical program will span three days of sessions with an emphasis on packaging technologies that serve 5G, High-Performance Computations, Automotive, Industrial, Defense/Space, Medical electronics markets, and beyond.  New this year will be a workshop on Strategies to Revitalize the Onshore Packaging and Assembly Defense Industrial Base, a Workforce Development Panel disc...2022-09-0114 min3D InCites Podcast3D InCites PodcastA Conversation About the Role Veterans Can Play in the Microelectronics Industry WorkforceSend us a textThis podcast episode was recorded live at SEMICON West 2022 at the Moscone Center in San Francisco, where critical discussions about the latest issues impacting the semiconductor industry took place.  Chips aren’t the only thing in short supply, the semiconductor industry talent shortage continues, and SEMI has launched a workforce initiative to address this. In this episode, Françoise von Trapp speaks with General Paul Funk and Major Ray Willson of the United States Army; and Larry Smith, Chairman of the board at TEL, who participated in a panel discussion at SEMIC...2022-08-1825 min3D InCites Podcast3D InCites PodcastA Conversation with Laura Matz About Pioneering Secure Data Collaboration for Semiconductor ManufacturingSend us a textIn this episode, Françoise von Trapp interviews Laura Matz, CEO of Athinia™ and Chief Science and Technology Officer of Merck KGaA, Darmstadt, Germany, about the growing need for data collaboration between materials suppliers and semiconductor device manufacturers, and the solution she helped create. Over the past 10 years, more new materials have been introduced into semiconductor manufacturing at each device node. At the same time, processes continue to tighten. This is increasing sensitivities to materials variations. Because of this, materials companies have to publicly communicate on excursions in the materials supply chai...2022-08-1119 min3D InCites Podcast3D InCites PodcastA Conversation with Bruce Kim and Jin Choi about the Secondary Semiconductor Equipment MarketSend us a textThe secondary semiconductor equipment market has been under a strain as secondary tools have been in hot demand since the chip shortage began in 2020 impacting the entire semiconductor supply chain. Here to speak with Françoise about this are Bruce Kim and Jin Choi of SurplusGLOBAL. They are their company’s perspective, and the actions they are taking to address the situation.   Kim talks about the growth of the secondary equipment market in Korea, where the company is based. He explains that the chip shortage has not only placed a demand on ne...2022-08-0420 min3D InCites Podcast3D InCites PodcastMember Spotlight: Conversations from SEMICON West 2022 and DAC 2022Send us a textIn this episode, we visit 3D InCites member companies on the trade show floor at SEMICON West and the Design Automation Conference to learn about what they are showcasing this year. Dave Kirsch and Paul Lindner of EV Group talk about the latest achievement in die-to-wafer fusion and hybrid bonding, and what it means for multi-die system-on-chip.  They also share BIG news about 3D ICs.  Alan Weber, Cimetrix, a division of PDF Solutions, talked about the company’s connectivity and control products for automated fabs and the data pipeline its te...2022-07-2859 min3D InCites Podcast3D InCites PodcastConversations with SEMI Leadership on Semiconductor Industry Challenges, Growth, Sustainability, and Workforce DevelopmentSend us a textThis podcast episode was recorded live at SEMICON West 2022 at the Moscone Center in San Francisco, where critical discussions about the latest issues impacting the semiconductor industry took place.  Françoise von Trapp speaks with Joe Stockunas, the new President of SEMI Americas; Ajit Manocha, SEMI CEO and President; Mousumi Bhat, who heads up SEMI’s Sustainability Initiative, and Shari Liss, Executive Director at SEMI Foundation, who talk about some of the week’s highlights. While Joe is new to trade organizations, he brings over 40 years of industry experience in execu...2022-07-2146 min3D InCites Podcast3D InCites PodcastA Conversation about The Importance of Buildiing Trusted and Secure MicroelectronicsSend us a textFrançoise von Trapp interviews Thomas Smelker, of Mercury Systems, about what is meant by “Trusted and Secure” microelectronics, and why it is critical to Mercury’s military, aerospace, space, and industrial customers.  He then does a deep dive into the geopolitical climate, the “actors” are trying to take away the technological advantage the US and its allies have. Tom explains why trusted and secure microelectronics are more important now than ever, as well as why it’s important to bring leading-edge 2.5D and 3D advanced packaging to its DoD customers. They discuss th...2022-07-1434 min3D InCites Podcast3D InCites PodcastConversations from the 2022 IMAPS SiP Conference Part 1Send us a textQualcomm’s Chidi Chidambaram talks about system technology co-optimization; ASE’s Mark Gerber Talks about the VIPack Platform; Daniel Graf, Zero EC, talks about solving data bottlenecks.This episode was recorded live at the 2022 IMAPS Advanced SiP Conference, where the focus is on SiP technology developments, solutions and business trends. The first interview is with keynote speaker, Chidi Chidambaram, Qualcomm, who talked about system-level optimization opportunities and challenges in the era of slowing silicon process technology. In this podcast interview, Chidambaram explained why Qualcomm is not at the forefront of 3D IC a...2022-06-3047 min3D InCites Podcast3D InCites PodcastConversations from the ECTC 2022 Technology CornerSend us a textFrançoise hit Technology Corner at the IEEE Electronic Components Technology Conference 2022, May 31-June 3, interviewing 3D InCites member companies about being back in person, and their perspectives of some of the key industry challenges such as supply chain issues, sustainability; diversity, equity, and inclusion efforts, new technology advancements, and much more. Tanja Braun, Fraunhofer - IZM, accepted the 3D InCites Award and shared some of the results of the PLP consortium 2.0. We talked about the challenges of standardizing panel sizes, the progress made, and what’s next for a possible third-phase of d...2022-06-1658 min3D InCites Podcast3D InCites PodcastConversations about What We Learned from ECTC 2022Send us a textIn Part 1 of ECTC 2022 coverage, Françoise catches up with some of the industry visionaries at key companies in the microelectronics space, as well as 3D technology research institutes to find out what they shared and learned at ECTC 2022. The episode kicks off with a conversation with Marvell’s Kevin O’Buckley who talked about key take-aways from the advanced packaging and co-packaged optics panel, and Chris Koopmans who shared some insight on the impact of supply chains on fabless semiconductor manufacturing, and how he thinks the $52B Chips Act funds should...2022-06-101h 093D InCites Podcast3D InCites PodcastA Conversation about Being an Entrepreneur in the Semiconductor IndustrySend us a textThe semiconductor industry is on a rapid growth trajectory, with a goal of becoming a $1 trillion industry by 2030 – that’s only 8 years away! Getting there will require a tremendous amount of innovation, investment, and risk-taking – all characteristics that define an entrepreneur. But what does it really mean to be an entrepreneur in the semiconductor industry? To find out, Françoise visited our 3D inCites community member, CyberOptics Corpation. The company’s president and CEO, Dr. Subodh Kulkarni, was recently named a finalist for the Ernst and Young Entrepreneur of The Year® 2022 Heartland A...2022-06-0238 min3D InCites Podcast3D InCites PodcastA Conversation about The State of the Semiconductor Raw Materials MarketSend us a textIn our continued coverage of the chip shortage and actions being taken around the globe, this episode looks at the raw materials market, and how different aspects of it are impacting the semiconductor supply chain. Françoise speaks with Lewis Black, CEO of Almonty Industries, an international raw materials development company that mines tungsten – which is integral material in semiconductor chips and electronics. Tungsten gas used to create a nanolayer coating on the inner workings of semiconductor chips to make them dissipate heat better and run more efficiently. The conversation covers a lo...2022-05-1925 min3D InCites Podcast3D InCites PodcastA Conversation about The Semiconductor Sustainability ChallengeSend us a textThe semiconductor industry’s role in creating a sustainable world continues to be one of the hottest topics of discussion. At the SEMI Industry Strategy Symposium 2022, almost every presentation addressed the issue in one form or another. In this episode, Françoise speaks with Marshall Chase, director of sustainability at Micron. Marshall’s entire presentation at ISS was on this topic. Topics of discussion include: ·      The feasibility of semiconductor companies reaching Net Zero Emission Goals by 2050, and ways that can be achieved. ·      Different approaches companies are taking·      The...2022-04-2220 min3D InCites Podcast3D InCites PodcastA Conversation About the Ukraine War, the EU Chips Act, and the European Semiconductor IndustrySend us a textSEMI Europe’s Laith Altimime joins Françoise for a conversation about how the Ukraine War is impacting Europe in general, and specifically the European semiconductor industry supply chain. Laith explains what SEMI is doing to support the semiconductor supply chain crisis. On a brighter note, they also talk about the outcome of the recent European Chips Act. Europe wants to go from owning 9% to 20% of the worlds chip manufacturing. The European Chips Act that was passed adds €15 billion to an existing €30 billion in public investments to create new STEM-focused programs, attract...2022-04-2026 min3D InCites Podcast3D InCites PodcastA Conversation with Semiconductor Market Analysts about Reaching $1Trillion by 2030Send us a textWe spent the week of April 4-8 at the SEMI Industry Strategy Symposium, where industry leaders gathered to discuss the key issues facing the semiconductor industry, and set goals for the next year – and beyond. In this episode, Françoise talks with some of the market analysts who presented their 2022 projections: Jan Vardaman, of TechSearch International, Andrea Lati of TechInsights, and Bob Johnson, of Gartner.  This conversation touches on all the key points that are currently top of mind for the semiconductor industry, from the impact of the inflation, the pandemic, the g...2022-04-1320 min3D InCites Podcast3D InCites PodcastSEMI ISS 2022: A Conversation about The Ukrainian Neon Gas ShortageSend us a text SEMI’s annual Industry Strategy Symposium (ISS) took place April 4-7, 2022 at the Riz Carlton resort in Half Moon Bay, CA. The event usually takes place in January, but due to Omicron, was postponed at the last minute and rescheduled to April. This annual event is where industry leaders gather for mission-critical discussions that the strategies for the year ahead. Over the course of the week, we recorded six episodes, speaking to presenters and attendees about the hottest topics in the semiconductor industry. In this first episode, Françoise speaks with Krist...2022-04-0920 min3D InCites Podcast3D InCites PodcastA Conversation about the New Vision for IMAPSSend us a textIn 2021, there was a changing of the guard at the International Microelectronics and Packaging Society. In this episode, Françoise speaks with new IMAPS President, Beth Keser, and President-elect Erica Folk, about their vision for IMAPS going forward. Beth and Erica provide details on three major initiatives: One is to create awareness in the US Government and defense industry about the importance of packaging in the semiconductor industry. The second is to bring in more academics and students into the society. Students are the future of the microelectronics industry. Third, they wa...2022-03-3114 min3D InCites Podcast3D InCites PodcastMembers Spotlight: Conversations from IMAPS DPC 2022Send us a textIn addition to our 3D InCites Award Winners, we caught up with some of our members who had news and stories to share at IMAPS DPC, March 8-10, 2022.  In this episode, Françoise speaks with Bob Connor, of member company X-Celeprint, about the Micro Transfer Process (MTP) a massively parallel pick and place technology that has been around since 2007, but is starting to get traction new applications in the heterogeneous integration space.  Next, she speaks with Darby Davis of Gel-Pak, a Delphon Company, who explains the relationship between Delphon Indus...2022-03-2822 min3D InCites Podcast3D InCites PodcastA Conversation about What's Happening at the IMAPS Device Packaging ConferenceSend us a textIMAPS Device Packaging Conference 2022 takes place next week – March 8-10, here in our home state of Arizona, and we at 3D InCites are very excited! It’s been two years since the event took place in person. In fact, it was the last event I attended before the world shut down – literally two weeks later. Even then, the buzz was about COVID 19, elbow bumping and hand sanitizing. Masks weren’t even a thing yet. It was also the last time we held the 3D InCites Awards Ceremony in person. Last year, we went virtual...2022-03-0323 min3D InCites Podcast3D InCites PodcastConversation about The Chiplet Design ExchangeSend us a textOne of our most listened-to episodes in Season 1 was on the importance of package design for chiplet integration. For those of you who are new to this podcast and chiplet technology, in a nutshell: Chiplets are hardened blocks of IP of different semiconductor technology nodes that result from disaggregating – literally taking apart a System-on-Chip. These blocks are then reintegrated as a single package using high-speed interfaces to deliver greater performance at a reduced cost, higher yield, and lower power with only a slightly larger area than a heterogeneous integrated advanced package....2022-02-2424 min3D InCites Podcast3D InCites PodcastA Conversation about R&D Investments, AI, and Big Data Solutions to Semiconductor ChallengesSend us a textIn this episode, sponsored by EMD Electronics, Françoise speaks with Anand Nambiar, who heads the semiconductor materials business of EMD Electronics, a business of Merck KGAA Darmstadt, Germany. In addition to providing his perspectives on the chip shortage, supply chain issues, and managing the growth of the semiconductor industry, he shared some exciting news. First, he talked about Level-Up, EMD’s program to step into the next decade with the right level of capability. These 3.5 billion global investments in the company’s global capacity and R&D include $1B earmarked for US locat...2021-12-1725 min3D InCites Podcast3D InCites PodcastConversations from SEMICON West Hybrid 2021 – Day ThreeSend us a textAs part of our SEMICON West Hybrid 2021 podcast series, Françoise spent lots of time on the show floor, catching up in person with 3D InCites community members and other industry experts to find out how business has been since the last time they saw each other at SEMICON West in 2019. In addition to talking about what they are showcasing in their booths, top of mind topics included the chip shortage, supply chain issues, the talent shortage, managing growth, efforts in sustainable manufacturing, and their hopes and dreams for 2022. In this th...2021-12-1630 min3D InCites Podcast3D InCites PodcastConversations from SEMICON West Hybrid 2021 – Day TwoSend us a textAs part of our SEMICON West Hybrid 2021 podcast series, Françoise spent lots of time on the show floor, catching up in person with 3D InCites community members and other industry experts to find out how business has been since the last time they saw each other at SEMICON West in 2019. In addition to talking about what they are showcasing in their booths, top of mind topics included the chip shortage, supply chain issues, the talent shortage, managing growth, efforts in sustainable manufacturing, and their hopes and dreams for 2022. In this se...2021-12-1538 min3D InCites Podcast3D InCites PodcastConversations from SEMICON West Hybrid 2021 – Day OneSend us a textAs part of our SEMICON West Hybrid 2021 podcast series, Françoise spent lots of time on the show floor, catching up in person with 3D InCites community members to find out how business has been since the last time they saw each other at SEMICON West in 2019. In addition to talking about what they are showcasing in their booths, top of mind topics included the chip shortage, supply chain issues, the talent shortage, managing growth, efforts in sustainable manufacturing, and their hopes and dreams for 2022. In this first episode, we...2021-12-1339 min3D InCites Podcast3D InCites PodcastA Conversation about Attracting and Retaining Semiconductor TalentSend us a textThis week (December 7-9) at SEMICON West in the Workforce Development Pavilion, one of the overarching topics has been how to address one of the current supply chain crises – not the chip shortage – but the talent shortage. If you’ve been following the news, you know that in response to the chip shortage, chip manufacturers and their suppliers are adding capacity at a furious pace. According to SEMI, 29 new fabs will break ground globally between now and 2022. But who will staff them? With 34,000 current vacancies in the semiconductors industry worldwide, companies large and small...2021-12-1024 min3D InCites Podcast3D InCites PodcastA Conversation about FOMO and SEMICON EuropaSend us a textDo you suffer from FOMO – Fear of Missing Out?  Our host, Françoise von Trapp, does. She had it bad when she couldn’t attend SEMICON Europa in November, and instead had to watch it play out on social media. So, she decided to invite some 3D InCites Community Members who were able to attend to join her in a round table discussion on the 3D InCites Podcast to fill her in on everything that she missed. The result is an eclectic discussion about how the venue handled COVID 19, some of the program highli...2021-12-0127 min3D InCites Podcast3D InCites PodcastSEMICON West Keynote Preview: The Second Wave of the Supply Chain CrisisSend us a textIn this second SEMICON West preview episode, Françoise von Trapp talks with James Gellert, Chairman, and CEO of RapidRatings, a financial health, data, and analytics company based in New York City.James is delivering a keynote talk at SEMICON West titled -  The Second Wave: Risk in Part Two of the Supply Chain Crisis. For years, we’ve seen advanced technology like artificial intelligence, machine learning, and 5G - all underpinned by semiconductor chips and sensor technologies, create opportunities for almost every industry we can think of.  An...2021-11-2314 min3D InCites Podcast3D InCites PodcastA Conversation What to Expect from SEMICON West Hybrid 2021Send us a textWe are really excited to announce that the 3D InCites Podcast will be the official podcast of SEMICON West Hybrid 2021, which kicks off in just four short weeks on December 7. SEMICON West in December? That’s right! Rather than holding it virtually for the second time in two years, the SEMI Americas team made a bold move - holding off until they could host a hybrid event. For many of us, it’s been a while since we’ve prepared for and attended an in-person event. Luckily, SEMI hasn’t missed a beat –...2021-11-1515 min3D InCites Podcast3D InCites PodcastMember Spotlight: A Conversation About Using Hybrid Bonding in 3D NAND ApplicationsSend us a textHybrid bonding has been a big story in heterogeneous integration and particularly 3D integration for quite some time. At the recent IMAPS International Symposium, it seemed like every keynote speaker mentioned some sort of hybrid bonding as an enabler for next-generation technology. Everybody is talking about it, everyone wants it – in fact, Xperi recently announced a licensing agreement with YMTC for its DBI hybrid bonding process that the company will use for 3D NAND products. This is the first time DBI is being implemented in volume production for 3D NAND applications.   In t...2021-11-1114 min3D InCites Podcast3D InCites PodcastConversations from the IMAPS International Symposium - Part 1Send us a textWe’re changing things up a bit for the next few weeks with a series of podcast episodes recorded live at the IMAPS International Symposium in San Diego. How we've missed those live events and the hallway conversations! We figured you did too, so we convinced some of our colleagues to sit down with Françoise and record them. In this first episode,  we talk with two of the panelists from Monday night’s Diversity and Inclusion Town Hall. Nicole Wongk of Honeywell is putting her chemical engineering background to work in adva...2021-10-2136 min3D InCites Podcast3D InCites PodcastA Conversation about Meeting Sustainability Development Goals through an Effective ESG StrategySend us a textGlobally, sustainability has become a much larger conversation than just taking steps to achieve zero carbon emissions. The UN has established 17 sustainable development goals that cover everything from climate change to diversity, equity, and inclusion. As the world becomes more socially conscious, it is no longer enough for a company to be profitable - they must also be purpose-driven - to make the world a better place. As a result, many semiconductor companies are elevating the importance of Environmental Social Governance efforts.  In this podcast episode, Françoise speaks with ASE’s Jen...2021-10-0740 min